Close

Presentation

Efficient Open Modification Spectral Library Searching in High-Dimensional Space with Multi-Level-Cell Memory
DescriptionOpen Modification Search (OMS) is a promising algorithm for mass spectrometry analysis that enables the discovery of modified peptides. However, OMS encounters challenges as it exponentially extends the search scope. Existing OMS accelerators either have limited parallelism or struggle to scale effectively with growing data volumes. In this work, we introduce an OMS accelerator utilizing multi-level-cell (MLC) RRAM memory to enhance storage capacity by 3x. Through in-memory computing, we achieve 1.7x to 76.7x faster data processing with two to three orders of magnitude energy efficiency improvement. The functionality is tested on a fabricated MLC RRAM chip. To address errors from memory, we leverage hyperdimensional computing, providing robustness by tolerating up to 10% memory errors while delivering massive parallelism in hardware.
Event Type
Research Manuscript
TimeThursday, June 271:30pm - 1:45pm PDT
Location3003, 3rd Floor
Topics
Design
Keywords
In-memory and Near-memory Computing Circuits