Close

Session

Work-in-Progress Poster: Wednesday Work-in-Progress Posters
Event TypeWork-in-Progress Poster
TimeWednesday, June 265:00pm - 6:00pm PDT
LocationLevel 2 Lobby
Topics
AI
Autonomous Systems
Cloud
Design
EDA
Embedded Systems
IP
Security
Presentations
Retract: Logarithmic-Depth Reconstruction of Continuous Controlled-NOT Logic Block
PINN-based Compact Model for On-chip Silicon Photonic Devices
HDFusion: Hierarchical Data Fusion for Robust Deep Tissue Sensing
CIMAP: A CIM Crossbar Array Data Mapping Methodology for Unstructured Sparse Convolutional Neural Networks
A DRAM-based PIM Architecture for Accelerated and Energy-Efficient Execution of Transformers
Circuit Transformer: End-to-end Logic Synthesis by Predicting the Next Gate
EffiPipe: Towards Energy-Efficient Large-scale Model Training on Commodity GPUs
RobustState: Boosting Fidelity of Quantum State Preparation via Noise-Aware Variational Training
Efficient Prediction of SRAM Read Access Time and Yield via Neural Network Leveraging Transfer Learning and Transformer Models
H4H: Hybrid Convolution-Transformer Architecture Search for NPU-CIM Heterogeneous Systems for AR/VR Applications
Accelerating DNN Execution via Weight and Data Adaptive N:M Pruning
QPulse: Ansatz Design Analysis and Advantages of Parameterized Quantum Pulses
DB-Hunter: Interactive-Guided Differential Testing for FPGA Simulation Debugger
Automated Generation of OoO ASIP from ISA Documents via Nano-Operator Abstraction
Agile Discovery of Efficient Real-Time Systems-on-Chips in the Heterogeneous Era
Hardware PDE Solvers Using Dynamic Stochastic Computing
CirSTAG: Circuit Stability Analysis via Graph Neural Networks
A New Iterative Method with Krylov Subspace Recycling for Efficient Periodic AC and Noise Analysis
SeGen: Automatic Topology Generator of Sequencing Element
Shared-PIM: Enabling Concurrent Computation and Data Flow for Faster Processing-in-Memory
TACPlace: Ultrafast Thermal-Aware Chiplet Placement under Multi-Power Mode Using Feasibility Seeking
Confidential Computing with Heterogeneous Devices at Cloud-Scale
Eliminate control divergence in SpMV via in-SRAM reduction
Analysis of 64-bit Parallel Prefix Adders and 32-bit Matrix Multiply Units Designed with 7-nm CNFET
Improvements to Delay-driven LUT Mapping
DRL-based Voltage Optimization for Multiple Droplet Routing in DMFBs
Accelerating Large-scale Sparse LU Factorization for RF Circuit Simulation
Accelerating Range-Joins for Big Data Genomic Variant Annotation on HBM-enabled FPGAs
SVDE: Serverless System for Low-Latency Video Analytic Queries Using Tree-based Regression
A verification plan to assess the quality of mobile telephony in Brazil
xPMEM: A Design of Byte-Addressable Persistent Memory with Compute Express Link for Advanced Data Center Applications
Knowledge is Power: A Knowledge-Guided Oracle-Less Attack on Logic Locking
An instant leafcell layout auto-generator for area compact memory design automation
PCBench: A Dataset for Printed Circuit Board Routing
A Novel Approach: Applying Fractional Factorial Design Methodology to Stress-Map, Experimental Study for Stress-Induced Failure (Bug), and Stress Coverage Assessment in Post-Silicon Validation Process
GL0AM: GPU Logic Simulation Using 0-Delay and Re-simulation Acceleration Method
Worst Case Response Time Analysis for Completely Fair Scheduling in Linux Systems
ReS-CIM: ReRAM-cached SRAM Compute-in-Memory Architecture with a Differential Sensing Scheme Enabling Intra-Macro Weight Loading
FOTA-Quant: FPGA-Oriented Token Adaptive Quantization Framework for the Acceleration of LLMs
SPulseGen: Succinct pulse generator architecture maximizing gate fidelity for superconducting quantum computers
MAM-CIM: Data Resilience Scheduling Based Multilevel Analog Memory for Near Sensor Computing-In-Memory Architecture
CIM for LLM: A Compute-In-Memory Architecture for Efficient Large Language Model Inference
HRing: A Hierarchical Ring Design Method for Wavelength-Routed Optical Networks-on-Chip
Hydrogen: Contention-Aware Hybrid Memory Management for Heterogeneous CPU-GPU Architectures
An Efficient and Scalable Clocking Assignment Algorithm for Multi-Threaded Multi-Phase Single Flux Quantum Circuits
A Multi-objective Optimization Framework of Spiking Neural Network and Neuromorphic Processor
Compression with Attention: Learning in Lower Dimensions
Advanced Analog Design Optimization: Comparison Between Reinforcement Learning and Heuristic Algorithms
Adaptive Graph Learning for Efficient Thermal Analysis of the Chiplet System under Interface Variations
Mining signal temporal logic specifications for hybrid systems
A Near-data Processing Architecture for GNN Training and Inference Acceleration
Rethinking DRAM Failure Prediction In Memory Reliability: An Efficient Deep Image Classification Perspective
AdaP-CIM: Compute-in-Memory Based Neural Network Accelerator using Adaptive Posit and Speculative Alignment
Graph Attention Network-based Sparse Format Selection for Accelerating SpMM on GPUs
An Open-Source Framework for AMS Modeling and Verification
Fully Automated Implementation of Reservoir Computing Models on FPGAs for Nanosecond Inference Times
DOCTOR: Dynamic On-Chip Remediation Against Temporally-Drifting Thermal Variations Toward Self-Corrected Photonic Tensor Accelerators
Compressed Latent Replays for Lightweight Continual Learning on Spiking Neural Networks
Distributed Inference of DL Workloads on CIM-based Heterogeneous Accelerators
LUTMUL: A Paradigm Shift from DSPs to LUTs for Efficient Multiplication in FPGA-Based Neural Network Computation
High-Performance Remote Data Persisting for Key-Value Stores via Persistent Memory Region
Distribution-Guided Fairness Calibration in Learning
MACO: Model-based Adaptive Circuit Optimization by Transformer-based Bidirectional Predictions between Circuit Parameters and Specifications
DATIS: DRAM Architecture and Technology Integrated Simulation
Solving Maximum Flows of Undirected Graphs by Minimizing s-t Effective Resistances of Electrical Networks
Accelerating Heterogeneous Workloads Using A Reconfigurable In-Memory Computing Architecture
Probability Modeling for Via-Metal Open Circuit Defects Utilizing Self-Aligned Vias Process in 5nm Technology Node and Beyond
ViTSen: Enabling Vision Transformers at the Edge Through In/Near -Sensor Processing Schemes
DTrans: A Dataflow-transformation FPGA Accelerator with Nonlinear-operators fusion aiming for the Generative Model
Evergreen: Comprehensive Carbon Modeling for Performance-Emission Tradeoffs
Defending Membership Inference Attack on Edge using Trusted Execution Environments
A Fast IR-drop Modeling for In-RRAM Computing Considering Data Allocation
Instruction Sequence Generation using Reinforcement Learning for Software-Based Self-Test of Processor Cores
PABTG: A Pipeline Architecture for Beaver Triple Generation in Secure Multi-party Computation
Dual-Axis ECC: Vertical and Horizontal error correction
A Crosstalk-Aware Timing Prediction Method in Routing
Affinity-based Optimizations of Homomorphic Encryption Operations on Processing-in-DRAM
A Divide-and-conquer Pebbling Strategy for Oracle Synthesis in Quantum Computing
Zero-Space Cost Fault Tolerance for Transformer-based language models on ReRAM
ATAFAN: Design-Friendly Aging-aware Timing Analysis Framework Based on Hybrid Graph Network
Quantum Error Correction Code Decoding with Transferable Transformers
mROB: Multi-Level ReOrder Buffer Design with Reduced Area and Power
Don't Cache, Speculate!: Speculative Address Translation for Flash-based Storage Systems
A Practical DRAM-based Analog PIM Architecture
DNNPhaser: Enhancing Data Locality Using Multiphase Ring Dataflow for Spatial Accelerators
Hybrid Stochastic Computing of Linear Time O(N) and Its In-Memory Computing for High Performances
Multi-Terminal Pathfinding with Conditional Denoising Diffusion Probabilistic Model
P-ReTi: Photonic Tensor Core for Real-Time Learning
Heterogeneous Vector Accelerator for Matrix Multiplications on FPGA
An Efficient Framework for High-Fidelity Automotive Exterior Design
Efficient Memory Placement in Chiplet-Based Systems