Close

Session

Work-in-Progress Poster: Tuesday Work-in-Progress Posters
Event TypeWork-in-Progress Poster
TimeTuesday, June 256:00pm - 7:00pm PDT
LocationLevel 2 Lobby
Topics
AI
Autonomous Systems
Cloud
Design
EDA
Embedded Systems
IP
Security
Presentations
HPA: A novel IS-WS hybrid data flow for PIM architectures
Understanding the Upper Bounds of Energy Efficiency in a Computing-in-Memory Processor and How to Approach the Limit
Additive Partial Sum Quantization
From RTL to Prompt: AN LLM-assisted Verification Methodology for General Processor
An Effective Timing Driven Placement with Accurate Differentiable Timing Approximation Integration
SPHINCSLET - A Lightweight Implementation of SPHINCS+
Learned Index Acceleration with FPGAs: A SMART Approach
TRIFP-DCIM: A Toggle-Rate-Immune Floating-point Digital Compute-in-Memory Design with Adaptive-Asymmetric Compute-Tree
PixelPrune: Sparse Object Detection for AIoT Systems via In-Sensor Segmentation and Adaptive Data Transfer
Scaler-FFT: A Scalable FPGA-based FFT Accelerator via General Matrix Multiplication
Addressing the Diversity in AI Computing: An On-chip Programmable Accelerator
Interactive Visual Performance Space Exploration of Analog ICs with Neural Network Surrogate Models
ELF: Efficient Logic Synthesis by Pruning Redundancy in Refactoring
A Quantum Solver for the Boolean Matching Problem
TinySeg: Memory-efficient Image Segmentation for Small Embedded Systems
Pre-Silicon Power Side-channel Leakage Assessment of CRYSTALS-Kyber
Tripartite Server Mutual Attestation: TEE-based BFT for Boosting Server Reliability in Federated Learning
Pushing Computing-in-memory towards Computational Storage to Accelerate In-Orbit Remote Sensing Satellite Image Processing
CDA: Collaborative Computing Using Centralized-Distributed Architecture for Smart Sensing
An Application of Information Flow Tracking to Hardware Trojan Detection
Integrated MAC-based Systolic Arrays: Design and Performance Evaluation
Methodology to define, design and support ultra-low voltage Digital Design
AMARETTO: Enabling Efficient Quantum Algorithm Emulation on Low-Tier FPGAs
Deputy NoC: A Case of Low Cost Network-on-Chip for Neural Network Accelerations on GPUs
RADAR: A Skew-resistant and Hotness-aware Ordered Index Design for Processing-in-memory Systems
Quantifying the Energy Efficiency Benefits of Monolithic 3D Refreshless Embedded-DRAM
QuBound: An Efficient Workflow Enabling Prediction of Performance Bounds under Unpredictable Quantum Noise
NeuroSteiner: A Graph Transformer for Wirelength Estimation
SoCureLLM: An LLM-driven Approach for Large-Scale System-on-Chip Security Verification and Policy Generation
A High-Throughput, Energy-Efficient, and Constant-Time In-SRAM AES Engine with Massively-Parallel Bit-Serial Execution
The chipSECS Hardware Trojan Benchmark Suite and Verification Methodology
Are Adversarial Examples Suitable To Be Test Suites for Testing Deep Neural Networks
Author
An Analytical Fidelity Model for Readout Circuitry with Multiple Co-Existing Non-Idealities for Superconducting Quantum Computing
The Power of Graph Signal Processing for Chip Placement
GPU-Accelerated BFS for Dynamic Networks
AiDAC: A Low-Cost In-Memory Computing Architecture with All-Analog Multibit Compute and Interconnect
AutoFlow: Inferring Message Flows From System Communication Traces
Adaptive Neurosurgeon: DNN Computing Latency Minimization for Mobile Edge Intelligence
ESFA: An Efficient Scalable FFT Accelerator Design Framework on Versal AI Engine
Exploring Distributed Circuit Design Using Single-Step Reinforcement Learning
Enabling Fast 2-bit LLM on GPUs: Memory Alignment, Sparse Outlier, and Asynchronous Dequantization
FastSample: Accelerating Distributed Graph Neural Network Training for Billion-Scale Graphs
On Optimization of Robustness of Inter- and Intra-chiplet Interconnection Topology for Multi-chiplet Systems
Methodology of configurable memory conflict-free Number Theoretic Transform accelerator for FPGA platform
Cooling the Chaos: Mitigating the Effect of Threshold Voltage Variation in Cryogenic CMOS Memories
A Synthesis Methodology for Intelligent Memory Interfaces in Accelerator Systems
A Hierarchical Dataflow-Driven Heterogeneous Architecture for Wireless Baseband Processing
Scalable Multi-task Deep Inference on Resource Constrained Energy Harvesting System
Analytical Modeling and Electro-Thermal Benchmarking of 2.5D/3D Heterogeneous Integration for AI Computing
From RTL to SVA: LLM-assisted generation of Formal Verification Testbenches
Representation-Independent Resubstitution for Area-Oriented Logic Optimization
Architectural Exploration of Application-Specific Resonant SRAM Compute-in-Memory (rCiM)
ODILO: On-Device Incremental Learning Via Lightweight Operations
Optimal Toffoli-Depth Quantum Adder
Quantization Noise Cancellation Through Modelling of Non-Linearities in Sigma Delta Modulators
Principles for Enabling TEEs on Domain-Specific Accelerators
Enhancing Performance of Deep Neural Networks with a Reduced Retention-Time MRAM-Based Memory Architecture
TDM: Time and Distance based Metric for Quantifying Information Leakage Vulnerabilities in SoCs
Where and How to Charge: Effective Charging with Mobile Agent in Wireless Powered CPS
SI-Aware Wire Timing Prediction at Pre-Routing Stage with Multi-Corner Consideration
Operational Safety in Human-in-the-loop Human-in-the-plant Autonomous Systems
Hyft: A Reconfigurable Softmax Accelerator with Hybrid Numeric Format for both Training and Inference
Optimizing Homomorphic Convolution for Private CNN Inference
Bayesian learning-driven Memory Design Exploration with Automated Circuit Variant Generation
A Hardware-Aware Framework for Practical Quantum Circuit Knitting
FEI: Fusion Processing of Sensing Energy and Information for Self-sustainable Infrared Smart Vision System
SFQ counter-based precomputation for large-scale cryogenic VQE machines
VisionHD: Revisiting Hyperdimensional Computing for Improved Image Classification
Escaping local optima in global placement
PIANIST: Efficient Quantum Circuit Simulation using Commercial Processing-in-Memory System
Labidus: Productive Accelerator Development via Configurable Soft Processors
Approx-T: Design Methodology for Approximate Multiplication Units via Taylor-expansion
NeuCore: A Novel Neuromorphic Processor Architecture with On-chip Event-driven Learning
CellRejuvo: Rescuing the Aging of 3D NAND Flash Cells with Dense-Sparse Cell Reprogramming
Hardware-Accelerated Optimization of DSP-Based Equalizer in High-Speed ADC-Based Receivers
A Parallel-trial Double-update Annealing Algorithm for Enabling Highly-effective State Transition on Annealing Processors
Efficient Synaptic Delay Acceleration in Digital Event-Driven Neuromorphic Processors
B-Ring:An Efficient Interleaved Bidirectional Ring All-reduce Algorithm for Gradient Synchronization
A novel method to analysis the wafer defect patterns using an image matching algorithm based on deep neural networks
Multi-modal Signal applied Neuromorphic proven SNN Model for Stress Detection
SASDynabLE: A Compact Transformer Inference Architecture with Saturation-Approximate Softmax Enabling Dynamic-Mapping Based Layer-Fusion Execution
Reset Domain Crossing Design Verification Closure using Advanced Data Analytics Techniques
MatHE: A Near-Mat Processing In-Memory Accelerator for Fully Homomorphic Encryption
Athena: Add More Intelligence to RMT-based Network Data Plane with Low-bit Quantization
nvmXR: Design Space Exploration of Non-Volatile Memory Architectures for Edge-XR Systems
Libra: Collaborating with Basis-Inverted Circuits to Mitigate State-Dependent Errors on NISQ Programs
LEAP: Layout aware Estimation of Analog design Parasitics
GNN-Opt: Enhancing Automated Circuit Design Optimization with Graph Neural Networks
Navigating the Challenges of Statistical Fault Injection in SRAM-FPGA
A General Purpose IMC Architecture with ADC-Awared Neural Networks
Multi-modal Signal applied Dynamic neuron based Spike processor for Stress Detection
Balancing and Minimizing Energy Consumption of Federated Learning in Heterogeneous Mobile Edge IoT