Close

Session

Engineering Track Poster: Monday Engineering Track Poster Reception
Event TypeEngineering Track Poster
TimeMonday, June 245:00pm - 6:00pm PDT
LocationLevel 2 Exhibit Hall
Topics
Back-End Design
Embedded Systems
Front-End Design
IP
Presentations
Die-Level Dynamic-IR Analysis Shift-Left Enabled by RedHawk-SC SigmaDVD
Modeling Optimal Number of Tap-points for Flexible H-tree During Clock Tree Synthesis
Developing Software Test Library (STL) as a Safety Mechanism for Vision AI DSP
Achieving High Local Noise Coverage in Dynamic EMIR Analysis using SigmaDVD
Reducing Interlayer misalignment caused by BLE (Bulk Layout Effect) : Solutions for improving in-chip uniformity of alignment between two layers
Faster Timing Closure of Multiple Power Domains Based Designs with SMVA
Enhancing Analog Mixed-Signal (AMS) Verification: Advanced Methods for Runtime and Scope Optimization
A Single Source Unified Approach to CSR Register Development
Systematic Flow on AC Scan Timing/ATPG Constraint Generation
Quality Assurance of DRC deck for Devices by SKILL Automation
A Novel Methodology for Library Characterization and Modeling Considering Local Layout Effect
Early Clock Tree Power Estimation and Correlation at SoC: A Case Study
Design Enablement of 2D/3D Power-Thermal Self-Consistent Analysis
A Novel Approach to Cost-Efficient Hybrid Cloud Solutions with SeaScape's Data Lake and Micro-Resiliency
Systematic Verification Framework for Memory Subsystem Ensuring Reliability and Robustness
Accelerate RF Board BOM Simulation with ADS Design Automation
A "Shift-Left" Analysis Flow For Layout Parasitics Of High Speed Analog Mixed Signal Design
Smart Testing: Integrating Fault Simulation and AI/ML for Efficient IP Validation
Timing Closure Methods on 5nm Design Challenges
Redefining Hierarchical Power Integrity signoff for Ultra-Large System-on-Chips
Efficient HBM Channel Design in 2.5D Silicon Interposer with Signal Integrity Optimization
Microsoft's Comprehensive IP Handoff Flow
COBRA : Code Coverage Measurement Technique for ARM-based firmware using Binary Modification Technology
3DIC prototype design and transient early thermal analysis
An Integrated Behavioral Modeling Method for Mixed Signal IPs
Enhanced State-Propagation based Vectorless IR-Drop Analysis Emulating Realistic Silicon Behavior
Methodology to analyze and optimize SOC performance and cost using function agnostic cycle accurate models
An Effective Method of Evaluating Chip Power Noise in System-level with iCPM
CDC Simulation Checker Implementation for Constant and Quasi-static Data Paths
Advancing Low Power Design in the Era of Rising Energy Footprints: Insights from IEEE 2416 Standard and Future Extensions
Novel Way of Checking and Analyzing Peak to Peak Voltage Variation Challenges for High Computational Multiprocessors SOC
Accelerating Automated Custom Layout Creation Through Smart Design Intent Migration
Parasitic Leakage Detection in Layout Design
A Decade of Evolution in Formal Verification
Electromagnetic Solutions From Design to Sign-Off Stage For High-Speed SerDes Design
Rapid Retargeting of Formal Connectivity Verification of AI FPGA Systems
Charting Uncharted Waters: Functional Simulation Reshaping CDC/RDC Constraints Signoff
Holistic Approach on 3DIC Planning
Design Automation of Minimal Layer Count Microprocessor 2.5D Silicon Interposer
Design Closure Methodology using stage wise checkers by Ease of Review to minimize Physical Design Implementation & Closure TAT
Areal and Time Decomposed Phalanx based Dynamic IR-Drop Prediction using DNN(Deep Neural Network) at Earlier stage of Design cycle
A Closed Loop IR and Timing Comprehensive Co-Signoff Methodology
AI-Driven Frontiers in Energy-Efficient Neural Processor Design
Overcoming the Growing Challenge of IR Drop by Effective Power Grid Enhancement during Chip Finishing
2.5D Design Breakthrough: Unleashing the Power of Automated EMIB Bridges.
Author
Globalized bulk biasing based substrate noise reducing method for size reduction in digital circuit
Advanced LLE aware Timing Signoff Methodology
Machine-Learning-Driven Floorplan-Aware Power Delivery Network Co-Planning
AI-Assisted Design Optimization for Extensive Design Spaces: Handling 260,000+ Combinations
Executable Tables, 'A Journey from Document to Simulation Capable, Exemplified Using DDR5 '
Predicting Computer Resource Needs using Machine Learning and Conventional Design
Calibre Autowaiver for Early DRC & DFM Analysis In Big Die Designs
Forward Progress Testing: Saftey vs Liveness Assertion
Programmable IO Ring Builder and checker
Shift-left Solution for Enhancing Power Integrity in physical design construction with RedHawk-Fusion
Noise Fixup: Finding and Fixing Noise Problems ( Chop and Swap )
Pnr implementation challenges in 3d ic
SigmaDVD: High Coverage Solution for Power Integrity Signoff
Leveraging several automated techniques and methodologies for faster coverage closure and design sign-off
The Designer's Superpower! Early Circuit Verification with Calibre nmLVS Recon
A Novel approach to implement FuSA Feature in Complex Automotive SoCs Using USF
Memory Clusters – Divide the design and optimize MBIST insertion efforts
Methodology of linking the LDR and DRC code by automatically generated test pattern
On Cloud Secured Collaboration From chips to embedded systems
Automated Place and Route based solution for Custom Blocks
A Novel methodology for re-simulation of block vectors helping validate Power Optimization QoR 20x faster
Balancing Power and Performance: The Hybrid Clock Network Approach for Network on chips
Shift-left methodology to identify invalid voltage level shifts & validate signal pins' P/G association in IPs/Block's UPF & .LIB views using PERC's static-voltage tracing mechanism
Empowering Early-Stage Design: An Automated Solution for Die Size Estimation and IO Ring Creation
Automated Floorplan Scaling Solutions and Framework
Bus Delay Skew Minimization for High Bandwidth Memory Designs
Struct Based Lower Level Modelling Using SystemVerilog UDT for Verification of Power Management IC
Memory Interface Architectures for Test Time Reduction in Zero DPPM SoCs
Overcoming Collaboration Hurdles in High-Tech Product Development with Keysight tool on Azure infrastructure.
Unified Waveform Analysis Platform for Tr.-Level Design Verification
Solving Memory Subsystem Verification Challenges for Multi-Instance Designs